Welcome![Sign In][Sign Up]
Location:
Search - spi protocol in vhdl

Search list

[VHDL-FPGA-VerilogVHDL-SPI-Module.doc

Description: 本spi参数化通讯模块是一个支持SPI串行通信协议从协议的SPI从接口。可通过改变参数设置传输的位数,由外部控制器给定脉冲控制传输。-The parameters of spi communication module is a support SPI serial communication protocol from the agreement from the SPI interface. By changing the parameter settings can be transmitted over the median, given by an external controller to control transmission pulse.
Platform: | Size: 37888 | Author: | Hits:

[VHDL-FPGA-VerilogSPIsend

Description: Verilog HDL的程式,上網找到SPI程式, vspi.v這程式相當好用可用來接收與傳送SPI,並且寫了一個傳輸信號測試,spidatasent.v這程式就是傳送的資料,分別為00 66... 01 77...... 02 55這樣的資料,並透過MAX+PULS II軟體進行模擬,而最外層的程式是test_createspi.v!-Verilog HDL programs, Internet find SPI program, vspi.v this very useful program can be used to receive and send SPI, and wrote a transmission signal test, spidatasent.v this program is to send the information, namely, 00 66 ... 01 77 ...... 02 55 This information, and through the MAX+ PULS II software simulation, while the outermost layer of the program are test_createspi.v!
Platform: | Size: 145408 | Author: Rick | Hits:

[VHDL-FPGA-VerilogSPI_Core.ZIP

Description: SPI协议的VHDL/Verilog语言实现。-SPI agreement VHDL/Verilog language.
Platform: | Size: 13312 | Author: qjyong | Hits:

[VHDL-FPGA-Verilogspi_slave

Description: 使用VHDL语言写的程序,利用SPI协议实现串并转换电路(Programs written in VHDL language and series-to-parallel conversion circuit implemented by SPI protocol)
Platform: | Size: 4470784 | Author: umbrella0923 | Hits:

CodeBus www.codebus.net